Part Number Hot Search : 
FM24CL HIP7010B 0000T VIOS800G BTS120 7560A9 HYB18T MTD6N15
Product Description
Full Text Search
 

To Download MB81F64442D-102L Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 To Top / Lineup / Index
FUJITSU SEMICONDUCTOR DATA SHEET
DS05-11055-1E
MEMORY
CMOS
4 x 4 M x 4 BIT SYNCHRONOUS DYNAMIC RAM
MB81F64442D-75/-102/-102L
CMOS 4-Bank x 4,194,304-Word x 4 Bit Synchronous Dynamic Random Access Memory s DESCRIPTION
The Fujitsu MB81F64442D is a CMOS Synchronous Dynamic Random Access Memory (SDRAM) containing 67,108,864 memory cells accessible in a 4-bit format. The MB81F64442D features a fully synchronous operation referenced to a positive edge clock whereby all operations are synchronized at a clock input which enables high performance and simple user interface coexistence. The MB81F64442D SDRAM is designed to reduce the complexity of using a standard dynamic RAM (DRAM) which requires many control signal timing constraints, and may improve data bandwidth of memory as much as 5 times more than a conventional DRAM. The MB81F64442D is ideally suited for workstations, personal computers, laser printers, high resolution graphic adapters/accelerators and other applications where an extremely large memory and bandwidth are required and where a simple interface is needed.
s PRODUCT LINE & FEATURES
MB81F64442D
Parameter
CL - tRCD - tRP
-75
-102/-102L
Reference Value @66MHz(CL=2)
3 - 3 - 3 clk min. 133 MHz max. CL = 2 CL = 3 CL = 2 CL = 3 10 ns min. 7.5 ns min. 6 ns max. 5.4 ns max. 80 mA max. 1 mA max. 1 mA max.
2 - 2 - 2 clk min. 100 MHz max. 10 ns min. 10 ns min. 6 ns max. 6 ns max. 75 mA max. 1 mA max. 1 mA max./ 500 A max.
2 - 2 - 2 clk min. 66 MHz max. 15ns min. 10 ns min. 8 ns max. 6 ns max. 60 mA max. 1 mA max. 1 mA max.
Clock Frequency Burst Mode Cycle Time Access Time From Clock Operating Current Power Down Mode Current (ICC2P) Self Refresh Current (ICC6)
* * * * *
Single +3.3 V Supply 0.3 V tolerance LVTTL compatible I/O interface 4 K refresh cycles every 64 ms Four bank operation Burst read/write operation and burst read/single write operation capability
* Programmable burst type, burst length, and CAS latency * Auto-and Self-refresh (every 15.6 s) * CKE power down mode * Output Enable and Input Data Mask
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s PACKAGE
54-pin plastic TSOP(II)
Marking side
(FPT-54P-M02) (Normal Bend)
Package and Ordering Information
- 54-pin plastic (400 mil) TSOP-II, order as MB81F64442D-xxxFN (Standard version) or MB81F64442D-xxxLFN (Low power version)
2
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s PIN ASSIGNMENTS AND DESCRIPTIONS
54-Pin TSOP(II) (TOP VIEW)
VCC N.C. VCCQ N.C. DQ0 VSSQ N.C. N.C. VCCQ N.C. DQ1 VSSQ N.C. VCC N.C. WE CAS RAS CS A13 (BA0) A12 (BA1) A10/AP A0 A1 A2 A3 VCC 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28 VSS N.C. VSSQ N.C. DQ3 VCCQ N.C. N.C. VSSQ N.C. DQ2 VCCQ N.C. VSS N.C. DQM CLK CKE N.C. A11 A9 A8 A7 A6 A5 A4 VSS
(Marking side)
Pin Number 1, 3, 9, 14, 27, 43, 49 5, 11, 44, 50 6, 12, 28, 41, 46, 52, 54 2, 4, 7, 8, 10, 13, 15, 36, 40, 42, 45, 47, 48, 51, 53 16 17 18 19 20, 21 22 22, 23, 24, 25, 26, 29, 30, 31, 32, 33, 34, 35 37 38 39 * : These pins are connected internally in the chip.
Symbol VCC, VCCQ DQ0 to DQ3 VSS, VSSQ * N.C. WE CAS RAS CS A13 (BA0), A12 (BA1) AP A0 to A11 CKE CLK DQM Supply Voltage Data I/O Ground No Connection Write Enable
Function
Column Address Strobe Row Address Strobe Chip Select Bank Select (Bank Address) Auto Precharge Enable Address Input Clock Enable Clock Input DQ MASK * Row: A0 to A11 * Column: A0 to A9
3
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s BLOCK DIAGRAM
Fig. 1 - MB81F64442D BLOCK DIAGRAM
CLK
To each block
CLOCK BUFFER
CKE
BANK-3 BANK-2 BANK-1 BANK-0 RAS
CS
CONTROL SIGNAL LATCH COMMAND DECODER
CAS
RAS
CAS
WE
WE
MODE REGISTER
A0 to A11, A10/AP
DRAM CORE (4,096 x 1,024 x 4)
ADDRESS BUFFER/ REGISTER
A12 (BA1) A13 (BA0)
ROW ADDR.
DQM
COLUMN ADDRESS COUNTER I/O DATA BUFFER/ REGISTER
COL. ADDR. I/O
VCC VCCQ VSS/VSSQ
DQ0 to DQ3
4
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s FUNCTIONAL TRUTH TABLE Note *1 COMMAND TRUTH TABLE Note *2, *3, and *4
CKE Function Device Deselect No Operation Burst Stop Read Read with Auto-precharge Write Write with Auto-precharge Bank Active Precharge Single Bank Precharge All Banks Mode Register Set Notes: *1. *2. *3. *4. *5. *6. *7. *8. *9. *8, *9 *6 Notes Symbol *5 *5 DESL NOP BST READ n-1 H H H H H H H H H H H n X X X X X X X X X X X CS RAS CAS WE H L L L L L L L L L L X H H H H H H L L L L X H H L L L L H H H L X H L H H L L H L L L A13, A10 A12 A11 (AP) (BA) X X X V V V V V V X L X X X X X X X V X X L X X X L H L H V L H L A9 X X X X X X X V X X V A8 to A0 X X X V V V V V X X V
*6 READA *6 WRIT
*6 WRITA *7 ACTV PRE PALL MRS
V = Valid, L = Logic Low, H = Logic High, X = either L or H. All commands assumes no CSUS command on previous rising edge of clock. All commands are assumed to be valid state transitions. All inputs are latched on the rising edge of clock. NOP and DESL commands have the same effect on the part. Unless spcifically noted, NOP will represent both NOP and DESL command in later discriptions. READ, READA, WRIT and WRITA commands should only be issued after the corresponding bank has been activated (ACTV command). Refer to STATE DIAGRAM. ACTV command should only be issued after corresponding bank has been precharged (PRE or PALL command). Required after power up. Refer to POWER-UP INITIALIZATION in page 19. MRS command should only be issued after all banks have been precharged (PRE or PALL command). Refer to STATE DIAGRAM.
5
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
DQM TRUTH TABLE
Function Data Write/Output Enable Data Mask/Output Disable Symbol n-1 ENBL MASK H H CKE n X X L H DQM
CKE TRUTH TABLE
Current State Function Notes Symbol *1 CSUS *1 CKE n-1 H L L *2 REF H H L L Idle Power Down Entry *3 PD H H L L n L L H H L H H L L H H A13, A10 CS RAS CAS WE A12 A11 (AP) (BA) X X X L L L H L H L H X X X L L H X H X H X X X X L L H X H X H X X X X H H H X H X H X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X A9 to A0 X X X X X X X X X X X
Bank Active Clock Suspend Mode Entry Any Clock Suspend Continue (Except Idle) Clock Suspend Idle Idle Clock Suspend Mode Exit Auto-refresh Command Self-refresh Entry
*2, *3 SELF SELFX
Self Refresh Self-refresh Exit
Power Down Power Down Exit
Notes: *1. The CSUS command requires that at least one bank is active. Refer to STATE DIAGRAM. NOP or DESL commands should be issued after CSUS and PRE(or PALL) commands asserted at the same time. *2. REF and SELF commands should only be issued after all banks have been precharged (PRE or PALL command). Refer to STATE DIAGRAM. *3. SELF and PD commands should only be issued after the last read data have been appeared on DQ.
6
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
OPERATION COMMAND TABLE (Applicable to single bank) Note *1
Current State Idle CS H L L L L L L L L Bank Active H L L L L L L L L RAS CAS WE X H H H H L L L L X H H H H L L L L X H H L L H H L L X H H L L H H L L X H L H L H L H L X H L H L H L H L Addr X X X BA, CA, AP BA, CA, AP BA, RA BA, AP X MODE X X X BA, CA, AP BA, CA, AP BA, RA BA, AP X MODE Command DESL NOP BST READ/READA WRIT/WRITA ACTV PRE/PALL REF/SELF MRS DESL NOP BST READ/READA WRIT/WRITA ACTV PRE/PALL REF/SELF MRS NOP NOP NOP Illegal Illegal Bank Active after tRCD NOP Auto-refresh or Self-refresh Mode Register Set (Idle after tRSC) NOP NOP NOP Begin Read; Determine AP Begin Write; Determine AP Illegal *2 *3, *6 *3, *7 *2 *2 Function Notes
Precharge; Determine Precharge Type Illegal Illegal
(Continued)
7
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Current State Read
CS H
RAS CAS WE X X X
Addr X
Command DESL
Function
Notes
NOP (Continue Burst to End Bank Active) NOP (Continue Burst to End Bank Active) Burst Stop Bank Active Terminate Burst, New Read; Determine AP Terminate Burst, Start Write; Determine AP Illegal Terminate Burst, Precharge Idle; Determine Precharge Type Illegal Illegal NOP (Continue Burst to End Bank Active) NOP (Continue Burst to End Bank Active) Burst Stop Bank Active Terminate Burst, Start Read; Determine AP Terminate Burst, New Write; Determine AP Illegal Terminate Burst, Precharge Determine Precharge Type Illegal Illegal *2 *4 *4 *2
L L L
H H H
H H L
H L H
X X BA, CA, AP
NOP BST READ/READA
L L L L L Write H
H L L L L X
L H H L L X
L H L H L X
BA, CA, AP BA, RA BA, AP X MODE X
WRIT/WRITA ACTV PRE/PALL REF/SELF MRS DESL
L L L
H H H
H H L
H L H
X X BA, CA, AP
NOP BST READ/READA
L L L L L
H L L L L
L H H L L
L H L H L
BA, CA, AP BA, RA BA, AP X MODE
WRIT/WRITA ACTV PRE/PALL REF/SELF MRS
(Continued)
8
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Current State Read with Autoprecharge
CS H
RAS CAS WE X X X
Addr X
Command DESL
Function NOP (Continue Burst to End Precharge Idle) NOP (Continue Burst to End Precharge Idle) Illegal Illegal Illegal Illegal Illegal Illegal Illegal NOP (Continue Burst to End Precharge Idle) NOP (Continue Burst to End Precharge Idle) Illegal Illegal Illegal Illegal Illegal Illegal Illegal
Notes
L L L L L L L L Write with Autoprecharge H
H H H H L L L L X
H H L L H H L L X
H L H L H L H L X
X X BA, CA, AP BA, CA, AP BA, RA BA, AP X MODE X
NOP BST READ/READA WRIT/WRITA ACTV PRE/PALL REF/SELF MRS DESL
*2 *2 *2 *2
L L L L L L L L
H H H H L L L L
H H L L H H L L
H L H L H L H L
X X BA, CA, AP BA, CA, AP BA, RA BA, AP X MODE
NOP BST READ/READA WRIT/WRITA ACTV PRE/PALL REF/SELF MRS
*2 *2 *2 *2
(Continued)
9
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Current State Precharging
CS H L L L L L L L L
RAS CAS WE X H H H H L L L L X H H H H L L L L X H H L L H H L L X H H L L H H L L X H L H L H L H L X H L H L H L H L
Addr X X X BA, CA, AP BA, CA, AP BA, RA BA, AP X MODE X X X BA, CA, AP BA, CA, AP BA, RA BA, AP X MODE
Command DESL NOP BST READ/READA WRIT/WRITA ACTV PRE/PALL REF/SELF MRS DESL NOP BST READ/READA WRIT/WRITA ACTV PRE/PALL REF/SELF MRS
Function NOP (Idle after tRP) NOP (Idle after tRP) NOP (Idle after tRP) Illegal Illegal Illegal NOP (PALL may affect other bank) Illegal Illegal NOP (Bank Active after tRCD) NOP (Bank Active after tRCD) NOP (Bank Active after tRCD) Illegal Illegal Illegal Illegal Illegal Illegal
Notes
*2 *2 *2 *5
Bank Activating
H L L L L L L L L
*2 *2 *2 *2
(Continued)
10
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
(Continued)
Current State Refreshing CS H L L RAS X H H CAS X H L WE X X X Addr X X X Command DESL NOP/BST Function NOP (Idle after tRC) NOP (Idle after tRC) Notes
READ/READA/ Illegal WRIT/WRITA ACTV/ PRE/PALL REF/SELF/ MRS DESL NOP BST Illegal
L
L
H
X
X
L Mode Register Setting H L L L
L X H H H
L X H H L
X X H L X
X X X X X
Illegal NOP (Idle after tRSC) NOP (Idle after tRSC) Illegal
READ/READA/ Illegal WRIT/WRITA ACTV/PRE/ PALL/REF/ SELF/MRS
L
L
X
X
X
Illegal
ABBREVIATIONS: RA = Row Address CA = Column Address BA = Bank Address AP = Auto Precharge
Notes: *1. All entries in ORERATION COMMAND TABLE assume the CKE was High during the proceeding clock cycle and the current clock cycle. Illegal means don't used command. If used, power up sequence be asserted after power shut down. *2. Illegal to bank in specified state; entry may be legal in the bank specified by BA, depending on the state of that bank. *3. Illegal if any bank is not idle. *4. Must satisfy bus contention, bus turn around, and/or write recovery requirements. Refer to TIMING DIAGRAM -11 & -12. *5. NOP to bank precharging or in idle state. May precharge bank specified by BA (and AP). *6. SELF command should only be issued after the last read data have been appeared on DQ. *7. MRS command should only be issued on condition that all DQ are in Hi-Z.
11
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
COMMAND TRUTH TABLE FOR CKE
Current State Selfrefresh CKE n-1 H L CKE n X H CS X H RAS X X
Note*1
CAS X X WE X X Addr X X Invalid Exit Self-refresh (Self-refresh Recovery Idle after tRC) Exit Self-refresh (Self-refresh Recovery Idle after tRC) Illegal Illegal Illegal NOP (Maintain Self-refresh) Invalid Idle after tRC Idle after tRC Illegal Illegal Illegal Illegal *2 Function Notes
L L L L L Selfrefresh Recovery L H H H H H H
H H H H L X H H H H H L
L L L L X X H L L L L X
H H H L X X X H H H L X
H H L X X X X H H L X X
H L X X X X X H L X X X
X X X X X X X X X X X X
(Continued)
12
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Current State Power Down
CKE n-1 H L L L L L L
CKE n X H H L H H H H H H H H L L L L L L L X
CS X H L X L L L H L L L L H L L L L L L X
RAS X X H X L H H X H L L L X H H H L L L X
CAS X X H X X L H X X H L L X H H L H L L X
WE X X H X X X L X X X H L X H L X X H L X
Addr X X X X X X X MODE MODE MODE X MODE X X X X X X X X Invalid
Function
Notes
Exit Power Down Mode Idle
NOP (Maintain Power Down Mode) Illegal Illegal Illegal Refer to the Operation Command Table. Refer to the Operation Command Table. Refer to the Operation Command Table. Auto-refresh Refer to the Operation Command Table. Power Down Power Down Illegal Illegal Illegal Self-refresh Illegal Invalid *3
All Banks Idle
H H H H H H H H H H H H L
(Continued)
13
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
(Continued)
Current State Bank Active Bank Activating Read/Write Read with Autoprecharge/ Write with Autoprecharge Clock Suspend CKE n-1 H CKE n H CS RAS CAS WE Addr Function Notes
X
X
X
X
X
Refer to the Operation Command Table.
H
L
X
X
X
X
X
Begin Clock Suspend next cycle
L
X
X
X
X
X
X
Invalid
H L L
X H L X H L
X X X X X X
X X X X X X
X X X X X X
X X X X X X
X X X X X X
Invalid Exit Clock Suspend next cycle Maintain Clock Suspend Invalid Refer to the Operation Command Table. Illegal
Any State Other Than Listed Above
L H H
Notes: *1. All entries in COMMAND TRUTH TABLE FOR CKE are specified at CKE(n) state and CKE input from CKE(n-1) to CKE(n) state must satisfy corresponding set up and hold time for CKE. *2. CKE should be held High for tRC period. *3. SELF command should only be issued after the last data have been appeared on DQ.
14
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s FUNCTIONAL DESCRIPTION
SDRAM BASIC FUNCTION
Three major differences between this SDRAM and conventional DRAMs are: synchronized operation, burst mode, and mode register. The synchronized operation is the fundamental difference. An SDRAM uses a clock input for the synchronization, where the DRAM is basically asynchronous memory although it has been using two clocks, RAS and CAS. Each operation of DRAM is determined by their timing phase differences while each operation of SDRAM is determined by commands and all operations are referenced to a positive clock edge. Fig 2 shows the basic timing diagram differences between SDRAMs and DRAMs. The burst mode is a very high speed access mode utilizing an internal column address generator. Once a column addresses for the first access is set, following addresses are automatically generated by the internal column address counter. The mode register is to justify the SDRAM operation and function into desired system conditions. MODE REGISTER TABLE shows how SDRAM can be configured for system requirement by mode register programming.
CLOCK (CLK) and CLOCK ENABLE (CKE)
All input and output signals of SDRAM use register type buffers. A CLK is used as a trigger for the register and internal burst counter increment. All inputs are latched by a positive edge of CLK. All outputs are validated by the CLK. CKE is a high active clock enable signal. When CKE = Low is latched at a clock input during active cycle, the next clock will be internally masked. During idle state (all banks have been precharged), the Power Down mode (standby) is entered with CKE = Low and this will make extremely low standby current.
CHIP SELECT (CS)
CS enables all commands inputs, RAS, CAS, and WE, and address input. When CS is High, command signals are negated but internal operation such as burst cycle will not be suspended. If such a control isn't needed, CS can be tied to ground level.
COMMAND INPUT (RAS, CAS and WE)
Unlike a conventional DRAM, RAS, CAS, and WE do not directly imply SDRAM operation, such as Row address strobe by RAS. Instead, each combination of RAS, CAS, and WE input in conjunction with CS input at a rising edge of the CLK determines SDRAM operation. Refer to FUNCTIONAL TRUTH TABLE in page 5.
ADDRESS INPUT (A0 to A11)
Address input selects an arbitrary location of a total of 4,194,304 words of each memory cell matrix. A total of twenty two address input signals are required to decode such a matrix. SDRAM adopts an address multiplexer in order to reduce the pin count of the address line. At a Bank Active command (ACTV), twelve Row addresses are initially latched and the remainder of ten Column addresses are then latched by a Column address strobe command of either a Read command (READ or READA) or Write command (WRIT or WRITA).
BANK SELECT (A12, A13)
This SDRAM has four banks and each bank is organized as 4 M words by 4-bit. Bank selection by A13, A12 occurs at Bank Active command (ACTV) followed by read (READ or READA), write (WRIT or WRITA), and precharge command (PRE).
15
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
DATA INPUT AND OUTPUT (DQ0 to DQ3)
Input data is latched and written into the memory at the clock following the write command input. Data output is obtained by the following conditions followed by a read command input: tRAC ; from the bank active command when tRCD (min) is satisfied. (This parameter is reference only.) tCAC ; from the read command when tRCD is greater than tRCD (min). (This parameter is reference only.) tAC ; from the clock edge after tRAC and tCAC. The polarity of the output data is identical to that of the input. Data is valid between access time (determined by the three conditions above) and the next positive clock edge (tOH).
DATA I/O MASK (DQM)
DQM is an active high enable input and has an output disable and input mask function. During burst cycle and when DQM = High is latched by a clock, input is masked at the same clock and output will be masked at the second clock later while internal burst counter will increment by one or will go to the next stage depending on burst type.
BURST MODE OPERATION AND BURST TYPE
The burst mode provides faster memory access. The burst mode is implemented by keeping the same Row address and by automatic strobing column address. Access time and cycle time of Burst mode is specified as tAC and tCK, respectively. The internal column address counter operation is determined by a mode register which defines burst type and burst count length of 1, 2, 4 or 8 bits of boundary. In order to terminate or to move from the current burst mode to the next stage while the remaining burst count is more than 1, the following combinations will be required: Current Stage Burst Read Burst Read Burst Write Burst Write Burst Read Burst Write Next Stage Burst Read 1st Step Burst Write 2nd Step Burst Write Burst Read Precharge Precharge Write Command after lOWD Write Command Read Command Precharge Command Precharge Command Method (Assert the following command) Read Command Mask Command (Normally 3 clock cycles)
The burst type can be selected either sequential or interleave mode if burst length is 2, 4 or 8. The sequential mode is an incremental decoding scheme within a boundary address to be determined by count length, it assigns +1 to the previous (or initial) address until reaching the end of boundary address and then wraps round to least significant address (= 0). The interleave mode is a scrambled decoding scheme for A0 and A2. If the first access of column address is even (0), the next address will be odd (1), or vice-versa. (Continued)
16
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
(Continued) When the full burst operation is executed at single write mode, Auto-precharge command is valid only at write operation. The burst type can be selected either sequential or interleave mode. But only the sequential mode is usable to the full column burst. The sequential mode is an incremental decoding scheme within a boundary address to be determined by burst length, it assigns +1 to the previous (or initial) address until reaching the end of boundary address and then wraps round to least significant address (= 0).
Burst Length 2 Starting Column Address A2 A1 A0 XX0 XX1 X00 4 X01 X10 X11 000 001 010 8 011 100 101 110 111 Sequential Mode 0-1 1-0 0-1-2-3 1-2-3-0 2-3-0-1 3-0-1-2 0-1-2-3-4-5-6-7 1-2-3-4-5-6-7-0 2-3-4-5-6-7-0-1 3-4-5-6-7-0-1-2 4-5-6-7-0-1-2-3 5-6-7-0-1-2-3-4 6-7-0-1-2-3-4-5 7-0-1-2-3-4-5-6 Interleave 0-1 1-0 0-1-2- 3 1-0-3-2 2-3-0-1 3-2-1-0 0-1-2-3-4-5-6-7 1-0-3-2-5-4-7-6 2-3-0-1-6-7-4-5 3-2-1-0-7-6-5-4 4-5-6-7-0-1-2-3 5-4-7-6-1-0-3-2 6-7-4-5-2-3-0-1 7-6-5-4-3-2-1-0
FULL COLUMN BURST AND BURST STOP COMMAND (BST)
The full column burst is an option of burst length and available only at sequential mode of burst type. This full column burst mode is repeatedly access to the same column. If burst mode reaches end of column address, then it wraps round to first column address (= 0) and continues to count until interrupted by the news read (READ) /write (WRIT), precharge (PRE), or burst stop (BST) command. The selection of Auto-precharge option is illegal during the full column burst operation except write command at BURST READ & SINGLE WRITE mode. The BST command is applicable to terminate the burst operation. If the BST command is asserted during the burst mode, its operation is terminated immediately and the internal state moves to Bank Active. When read mode is interrupted by BST command, the output will be in High-Z. For the detail rule, please refer to TIMING DIAGRAM-8. When write mode is interrupted by BST command, the data to be applied at the same time with BST command will be ignored.
BURST READ & SINGLE WRITE
The burst read and single write mode provides single word write operation regardless of its burst length. In this mode, burst read operation does not be affected by this mode.
17
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
PRECHARGE AND PRECHARGE OPTION (PRE, PALL)
SDRAM memory core is the same as conventional DRAMs', requiring precharge and refresh operations. Precharge rewrites the bit line and to reset the internal Row address line and is executed by the Precharge command (PRE). With the Precharge command, SDRAM will automatically be in standby state after precharge time (tRP). The precharged bank is selected by combination of AP and A13, A12 when Precharge command is asserted. If AP = High, all banks are precharged regardless of A13, A12 (PALL). If AP = Low, a bank to be selected by A12, A13 is precharged (PRE). The auto-precharge enters precharge mode at the end of burst mode of read or write without Precharge command assertion. This auto precharge is entered by AP = High when a read or write command is asserted. Refer to FUNCTIONAL TRUTH TABLE.
AUTO-REFRESH (REF)
Auto-refresh uses the internal refresh address counter. The SDRAM Auto-refresh command (REF) generates Precharge command internally. All banks of SDRAM should be precharged prior to the Auto-refresh command. The Auto-refresh command should also be asserted every 16 s or a total 4096 refresh commands within a 64 ms period.
SELF-REFRESH ENTRY (SELF)
Self-refresh function provides automatic refresh by an internal timer as well as Auto-refresh and will continue the refresh function until cancelled by SELFX. The Self-refresh is entered by applying an Auto-refresh command in conjunction with CKE = Low (SELF). Once SDRAM enters the self-refresh mode, all inputs except for CKE will be "don't care" (either logic high or low level state) and outputs will be in a High-Z state. During a self-refresh mode, CKE = Low should be maintained. SELF command should only be issued after last read data has been appeared on DQ. Note: When the burst refresh method is used, a total of 4096 auto-refresh commands within 4 ms must be asserted prior to the self-refresh mode entry.
SELF-REFRESH EXIT (SELFX)
To exit self-refresh mode, apply minimum tCKSP after CKE brought high, and then the No operation command (NOP) or the Deselect command (DESL) should be asserted within one tRC period. CKE should be held High within one tRC period after tCKSP Refer to Timing Diagram-16 for the detail. . It is recommended to assert an Auto-refresh command just after the tRC period to avoid the violation of refresh period. Note: When the burst refresh method is used, a total of 4096 auto-refresh commands within 4 ms must be asserted after the self-refresh exit.
MODE REGISTER SET (MRS)
The mode register of SDRAM provides a variety of different operations. The register consists of four operation fields; Burst Length, Burst Type, CAS latency, and Operation Code. Refer to MODE REGISTER TABLE. The mode register can be programmed by the Mode Register Set command (MRS). Each field is set by the address line. Once a mode register is programmed, the contents of the register will be held until re-programmed by another MRS command (or part loses power). MRS command should only be issued on condition that all DQ is in Hi-Z. The condition of the mode register is undefined after the power-up stage. It is required to set each field after initialization of SDRAM. Refer to POWER-UP INITIALIZATION below.
18
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
POWER-UP INITIALIZATION
The SDRAM internal condition after power-up will be undefined. It is required to follow the following Power On Sequence to execute read or write operation. 1. Apply power and start clock. Attempt to maintain either NOP or DESL command at the input. 2. Maintain stable power, stable clock, and NOP condition for a minimum of 100 s. 3. Precharge all banks by Precharge (PRE) or Precharge All command (PALL). 4. Assert minimum of 2 Auto-refresh command (REF). 5. Program the mode register by Mode Register Set command (MRS). In addition, it is recommended DQM and CKE to track VCC to insure that output is High-Z state. The Mode Register Set command (MRS) can be set before 2 Auto-refresh command (REF).
19
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Fig. 2 - BASIC TIMING FOR CONVENTIONAL DRAM VS SYNCHRONOUS DRAM
Active CLK CKE H tSI CS tHI H H Read/Write Precharge
RAS
CAS H : Read WE L : Write Address BA (A13, A12) RA DQ Burst Length = 4 BA (A13, A12) CA CAS Latency = 2 BA (A13, A12) AP (A10)
Row Dress Select RAS
Column Address Select
Precharge
CAS
DQ
20
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Fig. 3 - STATE DIAGRAM (Simplified for Single BANK Operation State Diagram)
MRS MODE REGISTER SET IDLE
SELF SELFX SELF REFRESH REF CKE\(PD) CKE AUTO REFRESH POWER DOWN
BANK ACTIVE SUSPEND
CKE\(CSUS) CKE BST WRIT WRIT WRITA READA READ WRIT WRITA READA PRE or PALL WRITA READA READ CKE CKE\(CSUS) CKE READ READ CKE\(CSUS) BANK ACTIVE BST
WRITE SUSPEND
ACTV
WRITE
READ SUSPEND
WRITE SUSPEND
CKE\(CSUS) WRITE WITH AUTO CKE PRECHARGE PRE or PALL
READ WITH AUTO PRECHARGE PRE or PALL
CKE\(CSUS) CKE READ SUSPEND
POWER ON
PRE or PALL
PRECHARGE
POWER APPLIED
DEFINITION OF ALLOWS Manual Input Automatic Sequence
Note: CKE\ means CKE goes Low-level from High-level.
21
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s BANK OPERATION COMMAND TABLE
MINIMUM CLOCK LATENCY OR DELAY TIME FOR SINGLE BANK OPERATION
READA WRITA WRIT PALL READ ACTV SELF MRS PRE REF BST tRSC 1 1
*4 *2 *2 *7
Second command (same bank) First command
*4
*4
MRS
tRSC
tRSC
tRSC
tRSC
tRSC
tRSC
ACTV
tRCD
tRCD
tRCD
*5
tRCD
*5
tRAS
*4
tRAS
*4
READ
*1 *2
1
1
1
1
1
*4
1
READA
BL+ tRP
BL+ tRP tWR tWR 1 1
BL+ tRP
*4
BL+ tRP
*4
BL+ tRP
BL+ tRP 1
WRIT
*2
tDPL
*4
tDPL
*4 *2 *2
WRITA
BL-1 + tDAL
*2 *3
BL-1 + tDAL tRP
BL-1 + tDAL 1
BL-1 + tDAL
*4
BL-1 + tDAL
*2
BL-1 + tDAL
*2 *6
PRE
tRP
*3
1
tRP
tRP
*6
1
PALL
tRP
tRP
1
1
tRP
tRP
1
REF
tRC
tRC
tRC
tRC
tRC
tRC
tRC
SELFX Notes: *1. *2. *3. *4. *5. *6. *7.
tRC
tRC
tRC
tRC
tRC
tRC
tRC
If tRP(min) CL x tCK, minimum latency is a sum of (BL + CL) x tCK. Assume all banks are in Idle state. Assume output is in High-Z state. Assume tRAS(min) is satisfied. Assume no I/O conflict. Assume after the last data have been appeared on DQ. If tRP(min) (CL-1) x tCK, minimum latency is a sum of (BL + CL-1) x tCK. Illegal Command
22
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s MULTI BANK OPERATIVE COMMAND TABLE
MINIMUM CLOCK LATENCY OR DELAY TIME FOR MULTI BANK OPERATION
READA WRITA WRIT PALL READ ACTV SELF MRS PRE REF BST tRSC 1
*6
Second command (other bank) First command
*5
*5, *6
*5
*5, *6
MRS
tRSC
tRSC
*2 *7 *7 *7 *7
tRSC
*6 *7
tRSC
*7
tRSC
tRSC
ACTV
tRRD
*2 *4
1
1
1
*10
1
*10
1
*6
tRAS
READ
*1 *2
1
*2 *4
1
*6
1
*6
1
*6 *10
1
*6 *10
1
*6
1
*6 *2 *2 *9
1
READA
BL+ tRP
1
*2 *4
1
1
1
1
1
*6
BL+ tRP
*6
BL+ tRP
BL+ tRP 1
WRIT
*2
1
*2 *4
1
*6
1
*6
1
*6
1
*6
1
*6
tDPL
*6 *2 *2
WRITA
BL-1 + tDAL
*2 *3
1
*2 *4
1
*7
1
*7
1
*7
1
*7
1
*6 *7
BL-1 + tDAL
*7
BL-1 + tDAL
*2
BL-1 + tDAL
*2 *8
PRE
tRP
*3
1
1
1
1
1
1
1
tRP
tRP
*8
1
PALL
tRP
tRP
1
1
tRP
tRP
1
REF
tRC
tRC
tRC
tRC
tRC
tRC
tRC
SELFX
tRC
tRC
tRC
tRC
tRC
tRC
tRC
Notes: *1. If tRP(min) CL x tCK, minimum latency is a sum of (BL + CL) x tCK.
*2. *3. *4. *5. *6. *7. *8. *9. *10. Assume bank of the object is in Idle state. Assume output is in High-Z state. tRRD(min) of other bank (second command will be asserted) is satisfied. Assume other bank is in active, read or write state. Assume tRAS(min) is satisfied. Assume other banks are not in READA/WRITA state. Assume after the last data have been appeared on DQ. If tRP(min) (CL-1) x tCK, minimum latency is a sum of (BL + CL-1) x tCK. Assume no I/O conflict.
Illegal Command 23
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s MODE REGISTER TABLE
MODE REGISTER SET
A13 0 A12 0 A11 0 A10 0 A9 Opcode A8 0 A7 0 A6 A5 CL A4 A3 BT A2 A1 BL A0 ADDRESS MODE REGISTER
A6 0 0 0 0 1 1 1 1
A5 0 0 1 1 0 0 1 1
A4 0 1 0 1 0 1 0 1
CAS Latency Reserved Reserved 2 3 Reserved Reserved Reserved Reserved
Burst Length A2 0 0 0 0 1 1 1 1 A1 0 0 1 1 0 0 1 1 A0 BT = 0 0 1 0 1 0 1 0 1 1 2 4 8 Reserved Reserved Reserved Full Column BT = 1 *2 Reserved 2 4 8 Reserved Reserved Reserved Reserved
A9 0 1
Op-code Burst Read & Burst Write Burst Read & Single Write *
1
A3 0 1
Burst Type Sequential (Wrap round, Binary-up) Interleave (Wrap round, Binary-up)
Notes: *1. When A9 = 1, burst length at Write is always one regardless of BL value. *2. BL = 1 and Full Column are not applicable to the interleave mode.
24
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s ABSOLUTE MAXIMUM RATINGS (See WARNING)
Parameter Voltage of VCC Supply Relative to VSS Voltage at Any Pin Relative to VSS Short Circuit Output Current Power Dissipation Storage Temperature Symbol VCC, VCCQ VIN, VOUT IOUT PD TSTG Value -0.5 to +4.6 -0.5 to +4.6 -50 to +50 1.3 -55 to +125 Unit V V mA W C
WARNING: Semiconductor devices can be permanently damaged by application of stress (voltage, current, temperature, etc.) in excess of absolute maximum ratings. Do not exceed these ratings.
s RECOMMENDED OPERATING CONDITIONS
(Referenced to VSS)
Parameter Supply Voltage Input High Voltage Input Low Voltage Ambient Temperature Notes:
4.6 V 50% of pulse amplitude VIH VIH min VIL Pulse width 5 ns VIH VIL max VIL 50% of pulse amplitude Pulse width 5 ns
Notes
Symbol VCC, VCCQ VSS, VSSQ
Min. 3.0 0 2.0 -0.5 0
Typ. 3.3 0 -- -- --
Max. 3.6 0 VCC + 0.5 0.8 70
Unit V V V V C
*1 *2
VIH VIL TA
*1. Overshoot limit: VIH (max) = 4.6 V for pulse width <= 5 ns acceptable, pulse width measured at 50% of pulse amplitude.
-1.5V *2. Undershoot limit: VIL (min) = VSS -1.5 V for pulse width <= 5 ns acceptable, pulse width measured at 50% of pulse amplitude.
WARNING: The recommended operating conditions are required in order to ensure the normal operation of the semiconductor device. All of the device's electrical characteristics are warranted when the device is operated within these ranges. Always use semiconductor devices within their recommended operating condition ranges. Operation outside these ranges may adversely affect reliability and could result in device failure. No warranty is made with respect to uses, operating conditions, or combinations not represented on the data sheet. Users considering application outside the listed conditions are advised to contact their FUJITSU representatives beforehand.
s CAPACITANCE
Parameter Input Capacitance, Except for CLK Input Capacitance for CLK I/O Capacitance Symbol CIN1 CIN2 CI/O Min. 2.5 2.5 4.0 Typ. -- -- --
(TA = 25C, f = 1 MHz) Max. 5.0 4.0 6.5 Unit pF pF pF 25
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s DC CHARACTERISTICS
(At recommended operating conditions unless otherwise noted.) Note *1, *2, and *3
Parameter Output High Voltage Output Low Voltage Input Leakage Current (Any Input) Output Leakage Current MB81F64442D-75 Operating Current (Average Power Supply Current) MB81F64442D-102 /-102L *4 Reference Value @66MHz(CL=2) Symbol VOH(DC) VOL(DC) ILI ILO Condition IOH = -2 mA IOL = 2 mA 0 V VIN VCC; All other pins not under test = 0 V 0 V VIN VCC; Data out disabled Burst Length = 1 tRC = min tCK = min One bank active Output pin open Addresses changed up to one time during tCK (min) 0 V VIN VIL max VIH min VIN VCC CKE = VIL All banks idle tCK = min Power down mode 0 V VIN VIL max VIH min VIN VCC CKE = VIL All banks idle CLK = VIH or VIL Power down mode 0 V VIN VIL max VIH min VIN VCC CKE = VIH All banks idle, tCK = 15 ns NOP command only, Input signals (except to CMD) are changed one time during 30 ns 0 V VIN VIL max VIH min VIN VCC CKE = VIH All banks idle CLK =VIH or VIL Input signal are stable 0 V VIN VIL max VIH min VIN VCC Value Min. 2.4 -- -5 -5 Max. -- 0.4 5 5 80 75 Unit V V A A
ICC1S
--
mA
60
ICC2P
--
1.0
mA
MB81F64442D-75 /-102 ICC2PS MB81F64442D-102L Precharge Standby Current MB81F64442D-75 (Power Supply Current) MB81F64442D-102 /-102L *4 Reference Value @66MHz(CL=2)
1.0 -- 0.5 15 -- 15 15 mA mA
ICC2N
ICC2NS
--
2
mA
(Continued)
26
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
(Continued)
Parameter MB81F64442D-75 /-102 ICC3P MB81F64442D-102L Symbol Condition CKE = VIL Any bank active tCK = min 0 V VIN VIL max VIH min VIN VCC CKE = VIL Any bank active CLK = VIH or VIL 0 V VIN VIL max VIH min VIN VCC CKE = VIH Any bank active tCK = 15 ns NOP command only, Input signals (except to CMD) are changed one time during 30 ns 0 V VIN VIL max VIH min VIN VCC CKE = VIH Any bank active CLK = VIH or VIL Input signals are stable 0 V VIN VIL max VIH min VIN VCC tCK = min Burst Length = 4 Output pin open All-banks active Gapless data 0 V VIN VIL max VIH min VIN VCC Auto-refresh; tCK = min tRC = min 0 V VIN VIL max VIH min VIN VCC Self-refresh; tCK = min CKE 0.2 V 0 V VIN VIL max VIH min VIN VCC Value Min. Max. 2 -- 1 mA Unit
ICC3PS
--
1
mA
Active Standby Current (Power Supply Current)
MB81F64442D-75 MB81F64442D-102 /-102L *4 Reference Value @66MHz(CL=2)
25 25
ICC3N
--
mA
25
ICC3NS
--
2
mA
MB81F64442D-75 Burst mode Current MB81F64442D-102 (Average Power /-102L Supply Current) *4 Reference Value @66MHz(CL=2) MB81F64442D-75 Refresh Current #1 MB81F64442D-102 (Average Power /-102L Supply Current) *4 Reference Value @66MHz(CL=2) MB81F64442D-75 Refresh Current #2 /-102 (Average Power Supply Current) MB81F64442D-102L ICC5 ICC4
115 -- 85 60 150 -- 140 100 1 -- 500 A mA mA mA
ICC6
Notes: *1. All voltage are referenced to VSS. *2. DC characteristics are measured after following the POWER-UP INITIALIZATION procedure. *3.ICC depends on the output termination or load conditions, clock cycle rate, signal clocking rate. The specified values are obtained with the output open and no termination register. *4.This value is for reference only.
27
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s AC CHARACTERISTICS
(At recommended operating conditions unless otherwise noted.) Note *1, *2, and *3
MB81F64442D -75 Min. CL = 2 Clock Period CL = 3 Clock High Time Clock Low Time Input Setup Time Input Hold Time Access Time from Clock (tCK = min) Output in Low-Z Output in High-Z *5 *5 *5 *5 CL = 2 *5,*6,*7 CL = 3 *5 CL = 2 *5,*8 CL = 3 CL = 2 Output Hold Time *5,*7 CL = 3 Time between Auto-Refresh command interval *4 Time between Refresh Transition Time CKE Setup Time for Power Down Exit Time *5 tREFI tREF tT tCKSP tOH 2.7 -- -- 0.5 1.5 15.6 64 10 -- -- -- 0.5 2 15.6 64 10 -- -- -- 0.5 2 15.6 64 10 -- tHZ3 2.7 3 -- 3 -- 3 -- ns s ms ns ns 5.4 tAC3 tLZ tHZ2 0 3 tCK3 tCH tCL tSI tHI tAC2 -- 5.4 -- 6 3 6 0 7.5 2.5 2.5 1.5 0.8 -- -- -- -- 6 -- 6 -- 6 3 6 ns ns 0 tCK2 10 -- 10 3 3 2 1 -- -- -- -- 6 -- 6 -- 8 ns ns ns Max. MB81F64442D -102/-102L Min. 10 -- 10 3 3 2 1 -- -- -- -- 8 Max. *4 Reference Value @66MHz(CL=2) Min. 15 -- ns ns ns ns ns ns Max. ns
Parameter
Notes
Symbol
Unit
28
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
BASE VALUES FOR CLOCK COUNT/LATENCY
MB81F64442D -75 Parameter Notes Symbol Min. Max. CL=3 CL=2 RAS Cycle Time RAS Precharge Time RAS Active Time RAS to CAS Delay Time Write Recovery Time RAS to RAS Bank Active Delay Time Data-in to Precharge Lead Time Data-in to Active/ Refresh Command Period CL=2 CL=3 *9 tRC tRP tRAS tRCD tWR tRRD tDPL tDAL2 tDAL3 tRSC Note *10 (Round off a whole number) 67.5 22.5 45 22.5 7.5 15 15 -- 2 cyc + tRP 15 70 20 50 20 10 20 10 1 cyc + tRP -- 20 -- -- 110000 -- -- -- -- -- -- -- 70 20 50 20 10 20 10 1 cyc + tRP 2 cyc + tRP 20 -- -- 110000 -- -- -- -- -- -- -- 80 30 50 30 10 20 10 1 cyc + tRP 2 cyc + tRP 20 -- -- 110000 -- -- -- -- -- -- -- ns ns ns ns ns ns ns ns ns ns Min. Max. Min. Max. MB81F64442D -102/-102L *4 Reference Value @66MHz(CL=2)
Unit
Mode Resister Set Cycle Time
CLOCK COUNT FORMULA
Clock
Base Value Clock Period
29
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
LATENCY - FIXED VALUES
(The latency values on these parameters are fixed regardless of clock period.) MB81F64442D -75 1 2 0 2 0 2 3 2 3 1 1 MB81F64442D -102/-102L 1 2 0 2 0 2 3 2 3 1 1 *4 Reference Value @66MHz(CL=2) 1 2 0 2 0 2 3 2 3 1 1
Parameter CKE to Clock Disable DQM to Output in High-Z DQM to Input Data Delay
Notes
Symbol lCKE lDQZ lDQD lOWD lDWD lROH2 lROH3 lBSH2 lBSH3 lCCD lCBD
Unit cycle cycle cycle cycle cycle cycle cycle cycle cycle cycle cycle
Last Output to Write Command Delay Write Command to Input Data Delay Precharge to Output in High-Z Delay Burst Stop Command to Output in High-Z Delay CAS to CAS Delay (min) CAS Bank Delay (min) CL = 2 CL = 3 CL = 2 CL = 3
Notes: *1. AC characteristics are measured after following the POWER-UP INITIALIZATION procedure. *2. AC characteristics assume tT = 1 ns and 50 pF of capacitive load. *3. 1.4 V is the reference level for measuring timing of input signals. Transition times are measured between VIH (min) and VIL (max). (See Fig. 5) *4. This value is for reference only. *5. If input signal transition time (tT) is longer than 1 ns; [(tT/2) -0.5] ns should be added to tAC (max), tHZ (max), and tCKSP (min) spec values, [(tT/2) -0.5] ns should be subtracted from tLZ (min), tHZ (min), and tOH (min) spec values, and (tT -1.0) ns should be added to tCH (min), tCL (min), tSI (min), and tHI (min) spec values. *6. tAC also specifies the access time at burst mode . *7. tAC and tOH are the specs value under AC test load circuit shown in Fig. 4. *8. Specified where output buffer is no longer driven. *9. Actual clock count of tRC (lRC) will be sum of clock count of tRAS (lRAS) and tRP (lRP). *10. All base values are measured from the clock edge at the command input to the clock edge for the next command input. All clock counts are calculated by a simple formula: clock count equals base value divided by clock period (round off to a whole number).
30
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Fig. 4 - OUTPUT LOAD CIRCUIT
Output
CL = 50 pF
LVTTL
Note: By adding appropriate correlation factors to the test conditions, tAC and tOH measured when the output is coupled to the Output Load Circuit are within specifications.
31
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Fig. 5 - TIMING DIAGRAM, SETUP, HOLD AND DELAY TIME
tCK tCH
2.4 V
tCL
CLK
0.4 V
1.4 V
tSI Input (Control, Addr. & Data)
tHI
2.4 V 1.4 V 0.4 V
tAC tLZ tOH
tHZ
2.4 V
Output
0.4 V
1.4 V
Note: Reference level of input signal is 1.4 V for LVTTL. Access time is measured at 1.4 V for LVTTL.
Fig. 6 - TIMING DIAGRAM, DELAY TIME FOR POWER DOWN EXIT
CLK
Don't Care
tCKSP (min) CKE
1 clock (min)
Command
Don't Care
NOP
NOP
ACTV
32
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
Fig. 7 - TIMING DIAGRAM, PULSE WIDTH
CLK
Input (Control)
tRC, tRP tRAS, tRCD, tWR, tREF, , tDPL, tDAL, tRSC, tRRD, tCKSP
COMMAND COMMAND
Note: These parameters are a limit value of the rising edge of the clock from one command input to next input. tCKSP is the latency value from the rising edge of CKE. Measurement reference voltage is 1.4 V.
Fig. 8 - TIMING DIAGRAM, ACCESS TIME
CLK
COMMAND
READ
tAC (CL - 1) x tCK
tAC
tAC
DQ (OUTPUT)
, , ,
Q (valid) Q (valid)
Q (valid)
33
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s TIMING DIAGRAMS
TIMING DIAGRAM - 1 : CLOCK ENABLE - READ AND WRITE SUSPEND (@ BL = 4)
CLK
CKE ICKE (1 clock) CLK (Internal)
*1
ICKE (1 clock)*1
*2 *2
DQ (Read)
Q1
Q2
(NO CHANGE)
*2
Q3
(NO CHANGE)
*2
Q4
DQ (Write)
D1
NOT *3 WRITTEN
D2
NOT *3 WRITTEN
D3
D4
Notes: *1. The latency of CKE (lCKE) is one clock. *2. During read mode, burst counter will not be incremented/decremented at the next clock of CSUS command. Output data remain the same data. *3. During the write mode, data at the next clock of CSUS command is ignored.
TIMING DIAGRAM - 2 : CLOCK ENABLE - POWER DOWN ENTRY AND EXIT
CLK tCKSP
(min) 1 clock (min)
CKE
Command
NOP
*1
PD(NOP)
*2
DON'T CARE
NOP
*3
NOP
*3
ACTV
*4
tREF (max)
Notes: *1. Precharge command (PRE or PALL) should be asserted if any bank is active and in the burst mode. *2. Precharge command can be posted in conjunction with CKE after the last read data have been appeared on DQ. *3. It is recommended to apply NOP command in conjunction with CKE. *4. The ACTV command can be latched after tCKSP (min) + 1 clock (min).
34
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 3 : COLUMN ADDRESS TO COLUMN ADDRESS INPUT DELAY
CLK
RAS ICCD tRCD (min) CAS
(1 clock)
ICCD
ICCD
ICCD
Address
ROW ADDRESS
COLUMN ADDRESS
COLUMN ADDRESS
COLUMN ADDRESS
COLUMN ADDRESS
COLUMN ADDRESS
Note: CAS to CAS delay can be one or more clock period.
TIMING DIAGRAM - 4 : DIFFERENT BANK ADDRESS INPUT DELAY
CLK tRRD (min) RAS tRCD (min) or more CAS tRCD (min) Address
ROW ADDRESS ROW ADDRESS COLUMN ADDRESS COLUMN ADDRESS COLUMN ADDRESS COLUMN ADDRESS
ICBD
(1 clock)
ICBD
A12, A13 (BA)
Bank 0
Bank 3
Bank 0
Bank 3
Bank 0
Bank 3
Note: CAS Bank delay can be one or more clock period.
35
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 5 : DQM - INPUT MASK AND OUTPUT DISABLE (@ BL = 4)
CLK
DQM (@ Read) IDQZ (2 clocks) DQ (@ Read)
Q1
Q2
Hi-Z
Q4
End of burst
DQM (@ Write) IDQD (same clock) DQ (@ Write)
D1
MASKED
D3
D4
End of burst
TIMING DIAGRAM - 6 : PRECHARGE TIMING (APPLIED TO THE SAME BANK)
CLK tRAS (min) Command
ACTV
PRECHARGE
Note: PRECHARGE means `PRE' or `PALL'.
36
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 7 : READ INTERRUPTED BY PRECHARGE (EXAMPLE @ CL = 2, BL = 4)
CLK
Command
PRECHARGE
IROH (2 clocks) DQ
Q1 Hi-Z
Command
PRECHARGE
IROH (2 clocks) DQ
Hi-Z
Q1
Q2
Command
PRECHARGE
IROH (2 clocks) DQ
Hi-Z
Q1
Q2
Q3
Command
PRECHARGE No effect (end of burst)
DQ
Q1
Q2
Q3
Q4
Note: In case of CL = 2, the lROH is 2 clocks. In case of CL = 3, the lROH is 3 clocks. PRECHARGE means `PRE' or `PALL'.
37
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 8 : READ INTERRUPTED BY BURST STOP (EXAMPLE @ BL = Full Column)
CLK
Command (CL = 2)
BST
lBSH (2 clocks)
Hi-Z
DQ
Qn-2
Qn-1
Qn
Qn+1
Command (CL = 3)
BST
lBSH (3 clocks)
Hi-Z Qn-2 Qn-1 Qn Qn+1 Qn+2
DQ
TIMING DIAGRAM - 9 : WRITE INTERRUPTED BY BURST STOP (EXAMPLE @ CL = 2)
CLK
Command
BST
COMMAND
DQ
LAST Dn
Masked by BST
38
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 10 : WRITE INTERRUPTED BY PRECHARGE (EXAMPLE @ CL = 3)
CLK
Command
PRECHARGE
ACTV
tDPL (min)
tRP (min)
DQ
Dn-1
LAST Dn
MASKED by Precharge
Note: The precharge command (PRE) should only be issued after the tDPL of final data input is satisfied. PRECHARGE means `PRE' or `PALL'.
TIMING DIAGRAM - 11 : READ INTERRUPTED BY WRITE (EXAMPLE @ CL = 3, BL = 4)
CLK IOWD (2 clocks) Command
READ
WRIT
DQM
*1
*2
*3
IDQZ (2 clocks) DQ
IDWD (same clock)
D1 Masked D2
Q1
Notes: *1. First DQM makes high-impedance state High-Z between last output and first input data. *2. Second DQM makes internal output data mask to avoid bus contention. *3. Third DQM in illustrated above also makes internal output data mask. If burst read ends (final data output) at or after the second clock of burst write, this third DQM is required to avoid internal bus contention.
39
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 12 : WRITE TO READ TIMING (EXAMPLE @ CL = 3, BL = 4)
CLK tWR (min) Command
WRIT READ
DQM (CL-1) x tCK DQ
D1 D2 D3 Masked by READ
tAC (max)
Q1 Q2
Note: Read command should be issued after tWR of final data input is satisfied.
40
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 13 : READ WITH AUTO-PRECHARGE (EXAPLE @ CL = 2, BL = 2 Applied to same bank)
CLK tRAS (min) tRP (min)
Command
ACTV
READA 2 clocks *1 (same value as BL)
NOP or DESL
ACTV
BL+tRP (min) *2
DQM
DQ
Q1
Q2
Notes: *1. Precharge at Read with Auto-precharge command (READA) is started from number of clocks that is the same as Burst Length (BL) after the READA command is asserted. *2. Next ACTV command should be issued after BL+tRP (min) from READA command.
TIMING DIAGRAM - 14 : WRITE WITH AUTO-PRECHARGE (EXAMPLE @ CL = 2, BL = 2 Applied to same bank)
tRAS (min)
*1, *2, *3
CLK tDPL (min) *4 tDAL (min) BL+tRP (min) *5
NOP or DESL ACTV
Command
ACTV
WRITA
DQM
DQ
D1
D2
Notes: *1. Even if the final data is masked by DQM, the precharge does not start the clock of final data input. *2. Once auto precharge command is asserted, no new command within the same bank can be issued. *3. Auto-precharge command doesn't affect at full column burst operation except Burst READ & Single Write. *4. Precharge at write with Auto-precharge is started after the tDPL from the end of burst. *5. Next command should be issued after BL+ tRP (min) at CL = 2, BL+1+tRP (min) at CL = 3 from WRITA command.
41
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 15 : AUTO-REFRESH TIMING
CLK
Command
REF *1
NOP *3
NOP *3
NOP
*3
REF
NOP
*3
Command *4
tRC (min)
tRC (min)
*2
A12, A13 (BA)
*2
DON'T CARE
DON'T CARE
BA
Notes: *1. All banks should be precharged prior to the first Auto-refresh command (REF). *2. Bank select is ignored at REF command. The refresh address and bank select are selected by internal refresh counter. *3. Either NOP or DESL command should be asserted during tRC period while Auto-refresh mode. *4. Any activation command such as ACTV or MRS command other than REF command should be asserted after tRC from the last REF command.
TIMING DIAGRAM - 16 : SELF-REFRESH ENTRY AND EXIT TIMING
CLK tCKSP (min) tSI (min) CKE tRC (min) *4
Command
NOP *1
SELF
DON'T CARE
NOP
*2
SELFX
NOP
*3
Command
Notes: *1. Precharge command (PRE or PALL) should be asserted if any bank is active prior to Self-refresh Entry command (SELF). *2. The Self-refresh Exit command (SELFX) is latched after tCKSP (min). It is recommended to apply NOP command in conjunction with CKE. *3. Either NOP or DESL command can be used during tRC period. . *4. CKE should be held high within one tRC period after tCKSP
42
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
TIMING DIAGRAM - 17 : MODE REGISTER SET TIMING
CLK tRSC (min)
Command
MRS
NOP or DESL
ACTV
Address
MODE
ROW ADRESS
Note: The Mode Register Set command (MRS) should only be asserted after all banks have been precharged.
43
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
s PACKAGE DIMENSION
54-pin plastic TSOP(II) (FPT-54P-M02)
54 28
*: Resin protrusion. (Each side: 0.15 (.006) MAX)
Details of "A" part
0.15(.006)
0.25(.010) "A" INDEX LEAD No.
1 27
0.15(.006) MAX 0.40(.016) MAX
* 22.220.10
(.875.004) 0.32 -0.07 .013 -.003
+0.08 +.003
1.150.05 (.045.002) (Mounting height)
11.760.20 (.463.008) 10.160.10 (.400.004) 0.1250.05 (.005.002)
0.16(.006)
M
0.80(.0315) TYP
0.10(.004) 20.80(.819)REF
0.500.10 (.020.004) 0.05(.002)MIN (STAND OFF)
10.760.20 (.424.008)
C
1997 FUJITSU LIMITED F54003S-1C-1
Dimensions in mm (inches)
44
To Top / Lineup / Index
MB81F64442D-75/-102/-102L
FUJITSU LIMITED
For further information please contact:
Japan FUJITSU LIMITED Corporate Global Business Support Division Electronic Devices KAWASAKI PLANT, 4-1-1, Kamikodanaka Nakahara-ku, Kawasaki-shi Kanagawa 211-8588, Japan Tel: 81(44) 754-3763 Fax: 81(44) 754-3329
All Rights Reserved. The contents of this document are subject to change without notice. Customers are advised to consult with FUJITSU sales representatives before ordering. The information and circuit diagrams in this document are presented as examples of semiconductor device applications, and are not intended to be incorporated in devices for actual use. Also, FUJITSU is unable to assume responsibility for infringement of any patent rights or other rights of third parties arising from the use of this information or circuit diagrams. FUJITSU semiconductor devices are intended for use in standard applications (computers, office automation and other office equipment, industrial, communications, and measurement equipment, personal or household devices, etc.). CAUTION: Customers considering the use of our products in special applications where failure or abnormal operation may directly affect human lives or cause physical injury or property damage, or where extremely high levels of reliability are demanded (such as aerospace systems, atomic energy controls, sea floor repeaters, vehicle operating controls, medical devices for life support, etc.) are requested to consult with FUJITSU sales representatives before such use. The company will not be responsible for damages arising from such use without prior approval. Any semiconductor devices have an inherent chance of failure. You must protect against injury, damage or loss from such failures by incorporating safety design measures into your facility and equipment such as redundancy, fire protection, and prevention of over-current levels and other abnormal operating conditions. If any products described in this document represent goods or technologies subject to certain restrictions on export under the Foreign Exchange and Foreign Trade Law of Japan, the prior authorization by Japanese government will be required for export of those products from Japan.
http://www.fujitsu.co.jp/
North and South America FUJITSU MICROELECTRONICS, INC. Semiconductor Division 3545 North First Street San Jose, CA 95134-1804, USA Tel: (408) 922-9000 Fax: (408) 922-9179 Customer Response Center Mon. - Fri.: 7 am - 5 pm (PST) Tel: (800) 866-8608 Fax: (408) 922-9179
http://www.fujitsumicro.com/
Europe FUJITSU MICROELECTRONICS EUROPE GmbH Am Siebenstein 6-10 D-63303 Dreieich-Buchschlag Germany Tel: (06103) 690-0 Fax: (06103) 690-122
http://www.fujitsu-ede.com/
Asia Pacific FUJITSU MICROELECTRONICS ASIA PTE LTD #05-08, 151 Lorong Chuan New Tech Park Singapore 556741 Tel: (65) 281-0770 Fax: (65) 281-0220
http://www.fmap.com.sg/
F9910 (c) FUJITSU LIMITED Printed in Japan


▲Up To Search▲   

 
Price & Availability of MB81F64442D-102L

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X